`timescale 1ns / 1ns module IBUF( O, I); input I; output O; wire O, I; buf B1(O, I); endmodule