---------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- Copyright (C) 2001, Xilinx, Inc. All Rights Reserved. -- ---------------------------------------------------------------------- -- You must compile the wrapper file dkcms.vhd when simulating -- the core, dkcms. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "Coregen Users Guide". -- The synopsys directives "translate_off/translate_on" specified -- below are supported by XST, FPGA Express, Exemplar and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). -- synopsys translate_off LIBRARY ieee; USE ieee.std_logic_1164.ALL; Library XilinxCoreLib; ENTITY dkcms IS port ( dataa: IN std_logic_VECTOR(9 downto 0); signeda: IN std_logic; datab: IN std_logic_VECTOR(11 downto 0); loadb: IN std_logic; busy: OUT std_logic; c: IN std_logic; product: OUT std_logic_VECTOR(21 downto 0)); END dkcms; ARCHITECTURE dkcms_a OF dkcms IS component wrapped_dkcms port ( dataa: IN std_logic_VECTOR(9 downto 0); signeda: IN std_logic; datab: IN std_logic_VECTOR(11 downto 0); loadb: IN std_logic; busy: OUT std_logic; c: IN std_logic; product: OUT std_logic_VECTOR(21 downto 0)); end component; -- Configuration specification for all : wrapped_dkcms use entity XilinxCoreLib.kdcm_v2_0(behavioral) generic map( constant_widthb => 12, b_signed => 1, widtha => 10, registered => 0, constant_datab => 0, c_has_ce => 0); BEGIN U0 : wrapped_dkcms port map ( dataa => dataa, signeda => signeda, datab => datab, loadb => loadb, busy => busy, c => c, product => product); END dkcms_a; -- synopsys translate_on